Fixed implicit type conversions.

This commit is contained in:
Tim Hughes 2014-03-01 16:54:35 +00:00
parent a2f6a17470
commit 238e5c7052
2 changed files with 2 additions and 2 deletions

View File

@ -46,7 +46,7 @@ public:
cnote << i.first;
bytes v;
for (auto& i: o["seq"].get_array())
v.push_back(i.get_int());
v.push_back((byte)i.get_int());
auto e = hexPrefixEncode(v, o["term"].get_bool());
if (!o["out"].is_null() && o["out"].get_str() != asHex(e))
{

View File

@ -53,7 +53,7 @@ public:
vector<pair<string, string>> ss;
for (auto& i: o["in"].get_obj())
ss.push_back(make_pair(i.first, i.second.get_str()));
for (unsigned j = 0; j < fac(ss.size()); ++j)
for (unsigned j = 0; j < fac((unsigned)ss.size()); ++j)
{
next_permutation(ss.begin(), ss.end());
BasicMap m;